سفارش تبلیغ
صبا ویژن

95/9/11
4:20 صبح

مقاله بررسی تاثیر استفاده از نانو سیلیس، دوده سیلیسی و متاکائولن

بدست ali در دسته

 

برای دریافت پروژه اینجا کلیک کنید

مقاله بررسی تاثیر استفاده از نانو سیلیس، دوده سیلیسی و متاکائولن در کاهش واکنشهای قلیایی – سیلیسی سنگدانه های بتن pdf دارای 10 صفحه می باشد و دارای تنظیمات در microsoft word می باشد و آماده پرینت یا چاپ است

فایل ورد مقاله بررسی تاثیر استفاده از نانو سیلیس، دوده سیلیسی و متاکائولن در کاهش واکنشهای قلیایی – سیلیسی سنگدانه های بتن pdf کاملا فرمت بندی و تنظیم شده در استاندارد دانشگاه و مراکز دولتی می باشد.

این پروژه توسط مرکز مرکز پروژه های دانشجویی آماده و تنظیم شده است

توجه : در صورت  مشاهده  بهم ریختگی احتمالی در متون زیر ،دلیل ان کپی کردن این مطالب از داخل فایل ورد می باشد و در فایل اصلی مقاله بررسی تاثیر استفاده از نانو سیلیس، دوده سیلیسی و متاکائولن در کاهش واکنشهای قلیایی – سیلیسی سنگدانه های بتن pdf ،به هیچ وجه بهم ریختگی وجود ندارد


بخشی از متن مقاله بررسی تاثیر استفاده از نانو سیلیس، دوده سیلیسی و متاکائولن در کاهش واکنشهای قلیایی – سیلیسی سنگدانه های بتن pdf :

سال انتشار: 1389

محل انتشار: دومین کنفرانس ملی بتن ایران

تعداد صفحات: 10

چکیده:

واکنش قلیایی – سیلیسی (ASR) میان سیلیس آمورف فعال در سنگدانه ها و قلیائیت محلول موجود در منافذ بتن رخ می دهد. در اثر این واکنش ژل سیلیسی تشکیل می شود که می تواند رطوبت جذب نموده ومنبسط شود. هنگامی که تنش داخلی ایجاد شده به بیش از مقاومت کششی بتن برسد ترک خوردگی ایجاد می شود. این ترکها خود می توانند محل نفوذ عوامل مخرب دیگر بداخل بتن شوند وخسارت تشدید می گردد. کاربرد برخی از پوزولانها و یا سرباره ها می توانند واکنش ASR را کنترل نماید . در این تحقیق از نانو ذرات سیلیس ، دوده سیلیسی و متاکائولن در درصدهای مختلف در آزمایش واکنش زایی سنگدانه ها به روش ASTM C1260 استفاده گردید تا تاثیر این ذرات بر کاهش واکنش بررسی گردد. نتایج نشان داد که استفاده از نانو سیلیس در مقایسه با دیگر پوزولانها قابلیت بیشتری برای کنترل ASR دارد.

 

برای دریافت پروژه اینجا کلیک کنید

95/9/11
4:19 صبح

مقاله بررسی پتانسیل حذف ماده گوگردی بلانکیت (Na2S2O4) و بهبود خص

بدست ali در دسته

 

برای دریافت پروژه اینجا کلیک کنید

مقاله بررسی پتانسیل حذف ماده گوگردی بلانکیت (Na2S2O4) و بهبود خصوصیات شربت قند در کارخانجات قند سازی به روش نانو فیلتراسیون غشایی pdf دارای 13 صفحه می باشد و دارای تنظیمات در microsoft word می باشد و آماده پرینت یا چاپ است

فایل ورد مقاله بررسی پتانسیل حذف ماده گوگردی بلانکیت (Na2S2O4) و بهبود خصوصیات شربت قند در کارخانجات قند سازی به روش نانو فیلتراسیون غشایی pdf کاملا فرمت بندی و تنظیم شده در استاندارد دانشگاه و مراکز دولتی می باشد.

این پروژه توسط مرکز مرکز پروژه های دانشجویی آماده و تنظیم شده است

توجه : در صورت  مشاهده  بهم ریختگی احتمالی در متون زیر ،دلیل ان کپی کردن این مطالب از داخل فایل ورد می باشد و در فایل اصلی مقاله بررسی پتانسیل حذف ماده گوگردی بلانکیت (Na2S2O4) و بهبود خصوصیات شربت قند در کارخانجات قند سازی به روش نانو فیلتراسیون غشایی pdf ،به هیچ وجه بهم ریختگی وجود ندارد


بخشی از متن مقاله بررسی پتانسیل حذف ماده گوگردی بلانکیت (Na2S2O4) و بهبود خصوصیات شربت قند در کارخانجات قند سازی به روش نانو فیلتراسیون غشایی pdf :

ر

چکیده
بلانکیت (blanket)، ماده ای پرکاربرد درانواع صنایع تولیدی است که با نامهای سدیم دیتیونیت و سدیم هیپو سولفیت نیز بیان میگردد. در صنایع تولیدی قند و شکر، نبات و آبنبات از ماده بلانکیت با هدف رنگبری و سفید کردن شربت استفاده می شود. این ماده شیمیایی با از بین بردن آنتی اکسیدانها باعث تسریع در سرطان بخشهای گوارشی ، نابودی پرزهای معده وروده ، اختلالات استنشاقی ، پوستی ، چشمی و تسریع دیابت با مسدود کردن آنزیمهای بدن به ویژه انسولین میشود . در این پژوهش به بررسی فرایند نانوفیلتراسیون به عنوان روشی دوستدار محیط زیست در میزان حذف بلانکیت به دلیل عوارض جبران ناپذیری که درسلامتی مردم بوجود می آورد و کاهش رنگ در پساب قند پرداخته شد . برای این منظور تأثیر سه پارامتر اختلاف فشار درعرض غشاء در سه سطح ( 10،15، ِ بار)، درجه حرارت در سه سطح ( 20،40،60 درجه سانتی گراد) و زمان در سه سطح ( ًُ ،20،55 دقیقه) در طرح آماری سطح پاسخ بر صفات مذکور مورد بررسی قرار گرفت. نتایج نشان داد که در سطوح بالای فشار و درجه حرارت، درصد حذف بلانکیت کاهش یافت اما با گذشت بیشتر زمان به دلیل افزایش لایه پلاریزاسیون غلظت حذف بلانکیت و مواد رنگی افزایش یافت.

واژههای کلیدی : بلانکیت ، پساب قند ، سدیم دیتیونیت ،سدیم هیپوسولفیت ، رنگ ، نانوفیلتراسیون

ٍ،.* پست الکترونیکی vahid_hakimzadeh@yahoo.com

مقدمه

کارخانه های تولیدقند و شکر، نبات وآبنبات عمدتا از شکر سفید یا خام که دارای ناخالصی و کیفیت بسیار پایین میباشند و مجددا تحت فرآیند تصفیه و رنگبری قرار میگیرند به منظور تولید محصولات با رنگ مناسب و سفید استفاده میکنند. روش های مرسوم مثل روش آهک زنی و یا رزین های تعویض یون علی رغم آلودگی محیط زیست و صرف انرژی بالا و هزینه زیاد در حذف ناخالصی ها به خصوص بلانکیت کارا نیستند.در بین روش های جداسازی استفاده از فرآیندهای غشایی کاربرد زیادی در صنایع غذایی از جمله صنایع قند پیدا کرده است. فرآیندهای غشایی به عنوان روشی دوستدار محیط زیست و موثر در حذف ترکیبات با وزن ملکولی کم و کوچک (البته بسته به نوع غشاء) می تواند به طور کامل جایگزین در روش های مرسوم تصفیه مورد بررسی قرار گیرد. برای بهبود عملکرد فرایندهای غشایی در حذف ترکیبات می توان از روش های دیگری به صورت ترکیب یا هیبریدی با ان استفاده کرد که در این بین می توان به ارتقاء فرآیند غشایی با کیتوزان و مواد فعال سطحی یا یکسری پلیمرها اشاره کرد.

فرایندهای غشایی به شیوههای فیزیکی برای جداسازی حلال ازنمکهای محلول در آن با استفاده ازغشاهای نیمه تراوا اطلاق میگردد که درسالهای اخیرپیشرفتهای زیادی داشته است، سابقه استفاده ازغشا به اوایل قرن بیستم برمیگردد (جود سیمون،زمستان ََ،انتشارات آوای قلم) .

غشاهای نانو فیلتراسیون از پیشرفتهای اخیر فناوری غشایی به شمار می روند.اولین کاربرد های نانوفیلتراسیون به نیمه دوم دهه هشتاد میلادی برمیگردد (اریکسون، ٌََُ؛کُنلُن، ٌَُُ).

امروزه از نانوفیلتراسیون در مراحل مختلف فرایند تصفیه آب از قبیل کاهش سختی و مقدار نمکهای آب ، رنگ زدایی و حذف آلایندههای میکروبی و شیمیایی استفاده می شود. درفرایندهای صنعتی نیز از نانوفیلتراسیون به منظور حذف مواد خاصی از قبیل مواد رنگی از آب استفاده میگردد(رشیدی،ٌََِ).

نانوفیلتر غشا نیمه تراواست که بین فرایندهای اسمزمعکوس و اولترافیلتراسیون قرار دارد و از این روش برای جداسازی ذرات آلی (با پایه کربن) شامل آلایندههای میکروبی و یونهای چند ظرفیتی استفاده می شودچُویی( و همکاران، ًًٌٍ؛ ویلبرت، ٌُُِ). در دو دهه اخیر تلاشهای قابل توجهی برای دستیابی به پیشرفتهایی درزمینه تولید غشاهایی که دارای خواص بین دو فرایند ROوUF یعنی احتباس بالا مشابه فرایند اسمز معکوس و فشارهای پایین همچون فرایند اولترافیلتراسیون باشد ، انجام شده است که نتیجه آن تولید غشاء نانو فیلتراسیون است(اکبری،تابستان ٌََّ).
ازآنجایی که بیشتر غشاهای نانو فیلتراسیون تجاری دارای بار سطحی میباشند ، در فرایندهای غشایی نانوفیلتراسیون علاوه بر مکانیسم غربالی ( وابسته به اندازه مولکولهای اجزای محلول و اندازه منافذ غشا ) ، پدیده دونان ( الکترواستاتیک ) که ناشی از بار سطحی غشا است ، در جداسازی و درصد دفع ترکیبات آلی تاثیر گذار میباشد( دارویش و همکاران ؛ ًًٍْ).

جداسازی در غشاء نانوفیلتر بر پایه مکانیسم غربالگری بوده ضمن آنکه به دلیل باردار بودن این غشاها ، واکنشهای بین غشاء و محلول نیز نقش بسزایی در این جداسازی دارند و ذرات تحت یک مکانیسم دافعه الکترواستاتیکی نیز احتباس می شوند . این واکنشها بستگی به خواص محلول مورد تصفیه و ساختار غشاء دارد. از آنجایی که غشاهای مختلف تجاری، خواص مختلفی داشته و از سویی اطلاعات دقیقی در خصوص ساختار و خواص فیزیکی – شیمیایی آنها در دسترس نیست ، لذا انجام مطالعات آزمایشگاهی هنوز مناسبترین روش به منظور شناخت نحوه عملکرد این غشاهاستچوُیی( وهمکاران ، ًًٌٍ؛ سانتافه و همکاران ،

ًًٍِ).

دریک فرآیند فیلتراسیون، دو فازی که بایکدیگر در تعادل ترمودینامیکی نیستند توسط یک غشای نیمه تراوا جدا می شوند. غشای یادشده مانند یک سد یا مانع فیزیکی عمل میکند و عبور یا عبور نکردن مواد از یک فاز به فاز دیگر را کنترل میکند. درفرایندهای فیلتراسیون غشایی میتوان از نیروهای محرکه گوناگون برای جداسازی بهره گرفت . پدیده انتقال جرم در یک غشاء توسط پدیدههای محلول ، نفوذ، جابجایی و دافعه یونی که ناشی از اختلاف درپتانسیل شیمیایی، غلظت، فشار و پتانسیل الکتریکی است ، انجام میگردد (اکبری،تابستان ٌََّ).

هیدروسولفیت سدیم (sodium hydrosulfite) با نام تجاری بلانکیت (blanket)، ماده ای پرکاربرد درانواع صنایع تولیدی با فرمول شیمیایی Na2S2O4 است که با نا مهای سدیم دیتیونیت ( (sodium dithionite وسدیم هیپو سولفیت ( (sodium

hyposulfit نیز بیان میگردد. هیدروسولفیت سدیم پودرسفید رنگ کریستالی ، حاوی بیش از % ََ دیتیونیت سدیم
میباشد(مصباحی،ٌٍََ؛ سیلین ،ٌََّ) .

دیتیونیت سدیم (بلانکیت) 2Na+ [OO..S-S.. OO ] 2- یک ماده شیمیایی چند منظوره است که به اسب بارکش شیمیایی معروف است ودارای کاربرد متفاوتی می باشد. بلانکیت در صنایع غذایی به عنوان مثال، صنعت قند و شکر، نبات ، آبنبات جهت رنگبری و سفید کردن شربت ، کاهش ویسکوزیته پساب پخت ، صنعت نانوایی با هدف تخمیر و عمل آوری زود هنگام نان و تسریع درکوتاه کردن فرایند تولید نان، اصلاح خواص رئولوژیکی خمیر و خواص کششی خمیرجهت فرم پذیری بهترگلوتن وکاهش سیالیت وتورق بیشتر و افزایش مقاومت خمیر حاصل ازگندمها ی آلوده و پوشاندن معایب ظاهری نان متاسفانه ازبلانکیت استفاده میگردد.

از بلانکیت در صنایع شیمیایی بعنوان مثال؛ صنعت رنگرزی جهت تولید رنگ ، کاغذ سازی به عنوان سفید کننده ، صنعت
چرمسازی جهت آهک زدایی پوست وسفید کننده ، صنعت نساجی بعنوان سفید کننده وتمیزکننده پشم وپنبه ودیگرالیاف
طبیعی، صنعت عکاسی درمحلول ظهورجهت اسیدی کردن محلول ثبوت ، باعث ثبات پلیمرپلی آکریل آمید دربرابر تخریب
رادیکال درحضورآهن به عنوان حذف کننده کلربعدازسفیدگری نایلون ، جهت خالص سازی وجداسازی آلدئیدها وکتونها درتهیه صابون وتولید سولفوکسیناتها به عنوان ایجاد ماده پایه شامپوبچه ، درآزمایشات شیمی خاک برای تعیین مقدارآهن ، درتهیه خشت
(کائولن خاک رس) ،درتصفیه آب جهت کلرزدایی آب قبل ازورود آن به سیستم اسمزمعکوس ، تصفیه گازو; استفاده
می شود(مصباحی،ٌٍََ؛ سیلین ،ٌََّ) .

بلانکیت با از بین بردن آنتی اکسیدانها باعث تسریع در سرطان بخشهای گوارشی ، ازبین رفتن پرزهای معده وروده ، اختلالات استنشاقی ، پوستی ، چشمی و تسریع دیابت با مسدود کردن آنزیمهای بدن به ویژه انسولین میشود (مصباحی ، ٌٍََ؛ حجت الاسلامی و همکاران ٌََُ).

دی اکسید گوگرد از طریق جلوگیری از ایجاد واکنشهای میلارد مانع تشکیل ترکیبات رنگی در شربت می شود. سولفیتاسیون واضافه کردن ترکیب گوگردی به صورت بلانکیت در اغلب کارخانهها قبل از اواپراتور (به شربت رقیق) ، قبل از کریستالیزاسیون (به شربت غلیظ یا لیکور استاندارد ) ، شربت صاف شده بعد از کربناتاسیون ویا پسابهای بعد از طباخی انجام می شود . این ماده اثررنگبری خوبی درپخت قند دارد و با توجه به اینکه اکثر افراد قند ها وآبنبات هایی که دارای رنگ ظاهری سفید می باشند را تهیه می کنند لذا برخی کارخانجات مقدار بیشتر از حد مجاز بلانکیت مصرف می کنند و به دلیل عوارض جبران ناپذیری که این ماده درسلامتی مردم بوجود می آورد اندازه گیری مقدار باقیمانده بلانکیت یکی ازمهمترین فاکتورهای کنترل کیفیت درمحصول قند و شکرمیباشد.(مصباحی ،ٌٍََ؛ سیلین ،ٌََّ، حجت الاسلامی و همکاران ٌََُ).

این ترکیب هنگامی که خشک است پایداراست اما به تدریج دردمای بالاترازًُ درجه سانتیگراد درحضوراکسیژن به سولفات سدیم ودی اکسید گوگرد تجزیه میشود که مقدار زیاد مصرف آن سرطانزا است و به همین دلیل یکی از مهمترین فاکتورهای کنترل کیفیت قند و شکر اندازهگیری انیدرید سولفور یا باقیمانده بلانکیت در قند اس ت که مطابق با استانداردملی ایران به شماره ( ُّ وًََّ ) میزان باقیمانده آن به صورت انیدرید سولفورو (SO2) به عنوان نقص بحرانی باید کمتراز ppm ًٌ درقند و شکرو نبات وآبنبات باشد .

غلامرضا مصباحی دراصول صنایع تولیدشکربه کاربرداثررنگبری بلانکیت درپخت قند اشاره میکند ونتیجه آن رنگبری خوب درمقدار PPM ًٌ بلانکیت میباشد وعلاوه برآن مصرف بلانکیت باعث کاهش ویسکوزیته پساب ماسکویت قند شده ومراحل کریستالیزاسیون،رشدکریستالهاوسانتریفوژکردن ماسکویت نیزباسهولت بیشتری انجام میگردد.

 

برای دریافت پروژه اینجا کلیک کنید

95/9/11
4:19 صبح

مقاله ارزیابی پتروفیزیکی و زون بندی سازند سروک دریکی ازمیادین نف

بدست ali در دسته

 

برای دریافت پروژه اینجا کلیک کنید

مقاله ارزیابی پتروفیزیکی و زون بندی سازند سروک دریکی ازمیادین نفتی جنوب ایران pdf دارای 16 صفحه می باشد و دارای تنظیمات در microsoft word می باشد و آماده پرینت یا چاپ است

فایل ورد مقاله ارزیابی پتروفیزیکی و زون بندی سازند سروک دریکی ازمیادین نفتی جنوب ایران pdf کاملا فرمت بندی و تنظیم شده در استاندارد دانشگاه و مراکز دولتی می باشد.

این پروژه توسط مرکز مرکز پروژه های دانشجویی آماده و تنظیم شده است

توجه : در صورت  مشاهده  بهم ریختگی احتمالی در متون زیر ،دلیل ان کپی کردن این مطالب از داخل فایل ورد می باشد و در فایل اصلی مقاله ارزیابی پتروفیزیکی و زون بندی سازند سروک دریکی ازمیادین نفتی جنوب ایران pdf ،به هیچ وجه بهم ریختگی وجود ندارد


بخشی از متن مقاله ارزیابی پتروفیزیکی و زون بندی سازند سروک دریکی ازمیادین نفتی جنوب ایران pdf :

سال انتشار: 1391
محل انتشار: دومین همایش ملی نفت، گاز و پتروشیمی
تعداد صفحات: 16
چکیده:
سازند سروک درگروه بنگستان با سن کرتاسه میانی آلبین – سنومانین یکی ازمخازن نفتی با اهمیت درحوضه زاگرس محسوب می شود توصیف ویژگیهای مخزن فرایندی است که به موجب آن ذخیره نهایی قابل استحصال افزایش یابد ارزیابی پتروفیزیکی و تعیین خصوصیات و سرشت مخزن ازجمله مطالعاتی است که بدین منظور صورت میگیرد دراین پژوهش با استفاده ازنگارهای چاه پیمایی یک حلقه چاه انتخاب شده ازساند سروک و بهره گیری ازنرم افزار تخصصی GEOLOG 6.6 و روش ارزیابی پتروفیزیک احتمالی Probablistic) پارامترهای پتروفیزیکی نظیر تخلخل تراوایی حجم شیل اشباع آب و لیتولوژی مورد ارزیابی قرارگرفت این مخزن به 8 زون تقسیم شده کهدرهرزون دارای لیتولوژی و اختصاصات پتروفیزیکی مربوط به خود است براساس ارزیابی های به عمل آمده زونهای سروک 3و4 بهترین کیفیت مخزنی را دربین زونهای دیگر دارامی باشند.

 

برای دریافت پروژه اینجا کلیک کنید

95/9/11
4:19 صبح

مقاله بررسی اقتصادی طرح پیشنهادی برق خراسان در خصوص دیماند منعطف

بدست ali در دسته

 

برای دریافت پروژه اینجا کلیک کنید

مقاله بررسی اقتصادی طرح پیشنهادی برق خراسان در خصوص دیماند منعطف از دیدگاه مشترکین پر مصرف pdf دارای 11 صفحه می باشد و دارای تنظیمات در microsoft word می باشد و آماده پرینت یا چاپ است

فایل ورد مقاله بررسی اقتصادی طرح پیشنهادی برق خراسان در خصوص دیماند منعطف از دیدگاه مشترکین پر مصرف pdf کاملا فرمت بندی و تنظیم شده در استاندارد دانشگاه و مراکز دولتی می باشد.

این پروژه توسط مرکز مرکز پروژه های دانشجویی آماده و تنظیم شده است

توجه : در صورت  مشاهده  بهم ریختگی احتمالی در متون زیر ،دلیل ان کپی کردن این مطالب از داخل فایل ورد می باشد و در فایل اصلی مقاله بررسی اقتصادی طرح پیشنهادی برق خراسان در خصوص دیماند منعطف از دیدگاه مشترکین پر مصرف pdf ،به هیچ وجه بهم ریختگی وجود ندارد


بخشی از متن مقاله بررسی اقتصادی طرح پیشنهادی برق خراسان در خصوص دیماند منعطف از دیدگاه مشترکین پر مصرف pdf :

سال انتشار: 1389

محل انتشار: بیست و پنجمین کنفرانس بین المللی برق

تعداد صفحات: 11

چکیده:

در سالهای اخیر برنامههای مدیریت مصرف مورد توجه فراوان واقع شدهاند. بهرهبرداری کارا و بهینه از بازار برق بستگی به تعامل مناسب تولید و مصرف دارد و مدیریت باریکی از راههای ایجاد تعادل بین تولید و مصرف میباشد. طرح دیماند منعطف، طرح جدید پیشنهادی برق منطقه-ای خراسان، در راستای برنامههای مدیریت مصرف میباشد .با پذیرفتن این طرح، مشترک میتواند دیماند قراردادی و درنتیجه بهای دیماند را، بدون خدشهدار شدن عملکرد عادی خود، کاهش دهد. اجرای موفق طرح دیماند منعطف، برای اپراتور سیستم مزایای کاهش پیک و برای مشتریان، منافع اقتصادی را به همراه دارد. در این مقاله چگونگی اجرای طرح دیماند منعطف برای مشترکین صنعتی (بهطور خاص یک کارخانه سیمان) بررسی و مزایای اقتصادی آن، تشریح شده است.نتایج بدست آمده نشان می دهد، اجرای مناسب طرح دیماند منعطف، علاوه بر کاهش پیک شبکه، صرفه جویی قابل توجهی در هزینه انرژی مشترک بدنبال دارد. با بررسیهای کارشناسی بیشتر، طرح دیماند منعطف بعنوان یک طرح کاملاً عملی و سودمند در سراسر کشور قابل اجرا خواهد بود.

 

برای دریافت پروژه اینجا کلیک کنید

95/9/11
4:19 صبح

مقاله چند پردازشگرهای مرتبط بوسیله یک شبکه pdf

بدست ali در دسته

 

برای دریافت پروژه اینجا کلیک کنید

مقاله چند پردازشگرهای مرتبط بوسیله یک شبکه pdf دارای 33 صفحه می باشد و دارای تنظیمات در microsoft word می باشد و آماده پرینت یا چاپ است

فایل ورد مقاله چند پردازشگرهای مرتبط بوسیله یک شبکه pdf کاملا فرمت بندی و تنظیم شده در استاندارد دانشگاه و مراکز دولتی می باشد.

این پروژه توسط مرکز مرکز پروژه های دانشجویی آماده و تنظیم شده است

توجه : در صورت  مشاهده  بهم ریختگی احتمالی در متون زیر ،دلیل ان کپی کردن این مطالب از داخل فایل ورد می باشد و در فایل اصلی مقاله چند پردازشگرهای مرتبط بوسیله یک شبکه pdf ،به هیچ وجه بهم ریختگی وجود ندارد


بخشی از متن مقاله چند پردازشگرهای مرتبط بوسیله یک شبکه pdf :

چند پردازشگرهای مرتبط بوسیله یک شبکه

طراحی با تک باس خوب است ولی محدودیت هایی دارد ، چون سه تا از خصوصیت های مطلوب باس با هم ناسازگارند که شامل ، پهنای باند ، درنگ زمانی که ( زمان انتقال یک سیگنال ) و طول مسیر زیاد . همچنین پهنای باند محدودی برای حافظه واحد مرتبط با باس وجود دارد . بنابراین یک تک باس به پردازشگرهایی که می توانند با آن مرتبط باشند یک قید مفیدی را تحمیل می کند . تا امروز بیشترین تعداد پردازشگر مرتبط با باس واحد در کامپیوتر های تجاری 36 تا است ، و این تعداد بنظر می رسد که با گذشت زمان رو به کاهش است .

اگر هدف متصل کردن پردازشگرهای بیشتری به هم است بنابراین طراحان کامپیوتری بایست بیشتر از یک باس واحد استفاده کنند . شکل 908 چگونگی ساختار آن را نشان می دهد . توجه کنید که در شکل 902 ص 716 رسانه اتصال ( باس ) بین حافظه و پردازشگر است در حالیکه در شکل 908 و حافظه به هر کدام از پردازشگرها متصل است و رسانه اتصال ( شبکه بین این گره های مرکب است ، برای سیستم ها باس واحد رسانه برای هر بار دسترسی حافظه مورد استفاده قرار می گیرد در حالیکه در حالت های تعدی تنها برای CP ( توانای یک فرایند برای ارتباط با فرآیند دیگر ) مورد استفاده قرار می گیرد . شکل 909 لیست چندین مرتبط با شبکه های via آورده شده است .

و این به ما یک بحث قدیمی دوباره سازمان حافظه در مقیاس بزرگ پردازش های موازی را نتیجه می دهد . متاسفانه اغلب بحث به یک دوگانگی غلط متمرکزمی شود : حافظه مشترک در برابر حافظه توزیع شده . حافظه مشترک که در واقع به معنی یک فضا با آدرس واحد است که به طور ضمنی به ارتباطات با بارگذاری و ذخیره دلالت می کند .

سازمان شبکه های چند پردازشگر ، توجه : برخلاف شکل 902 اتصالات چند پردازشگر ها بلند تر :908 و شکل از بین حافظه و پردازشگرهانیست . همچنین پردازشگرها بایک شبکه در بالای حافظه ساخته شده اند . شاید بهترین مثال : ctayxmp , yamp sun Enterprise جدید ترین مثال باشند .
در مقابل یک نشانی حافظه های چند گانه خاص وجود دارد که به ارتباط صریح بین ارسال ها و دریافت ها دلالت می کند .
حافظه های توزیع شده به قسمت های فیزیکی حافظه بر می گردد . اگر حافظه فیزیکی به چند قسمت تقسیم شود و کنار هر قسمت هم یک پرداز شگر باشد مثل شکل 8 . و در آنصورت حافظه فیزیکی توزیع شده خواهد بود .

دقیقا برعکس حافظه توزیع شده حافظه متمرکز است . جایکه زمان دسترسی به حافظه فیزیکی برای همه پرداز شگرها برابر است چون هر دسترسی از طریق اتصال شکل 902 انجام می شود . بعضی وقتها به این نوع ماشین سالن رقص می گویند .
که پردازشگرها در یک طرف سالن و حافظه ها در طرف دیگر مانند مدرسه رقص که پسرها در طرف اتاق و دخترها در طرف دیگر هستند . ( به عنوان مثال کامپیوتر ترا ، رجوع شود به www. mkp. cem / coze. htm ) . همانطور که در قسمت 901 گفته شد . فضای یک نشانی در برابر فضای نشانی چند گانه و حافظه توزیع شده در برابر حافظه متمرکز شده متمم یکدیگرند : چند پردازشگرها می توانند یک فضای تک نشانی و یک حافظه فیزیکی توزیع شده داشته باشند . بحث مناسب اهمیت جنبه های مثبت و منفی فضای یک نشانی از ارتباطات روشن و از حافظه های فیزیکی توزیع شده را مشخص می کند .

در ماشین ها بدون یک نشانی ، ارتباطات واقع است . برنامه نویس و کامپایلو می بایست پیغام ها را یک گره بفرستد و از گره دیگری پیغامها را دریافت کنند .
شکل 909 : خصوصیات کامپیوترهای چند پردازشگر متصل باشد شبکه برای فروش در سال 1997
برنامه های موازی ( پیغام های عبوری )
بیایید درباره یک شبکه با اتصالات چند پردازشگر 100 پردازنده ای که از حافظه های چند گانه خاص استفاده می کند بزنیم .
جواب : چون این کامپیوتر فضای چند نشانی دارد ، اولین قدم توزیع 100 زیر مجموعه به هر کدام از حافظه های جزئی است . پردازشگر شامل 000/100 شماره ارسالی زیر مجموعه به هر کدام از 100 گره حافظه پردازش است .

مرحله بعدی بدست آوردن حاصل جمع هر کدام از زیر مجموعه هاست . مرحله مشکل آن ، این است که هر کدام از حاصل جمع های جزئی در یک واحد اجرای مختلف واقع شدند . بنابراین ما باید از یک شبکه به هم پیوسته برای ارسال حاصل جمع های جزئی استفاده کنیم تا حاصل جمع نهایی ذخیره شود . علاوه بر ارسال همه حاصل جمع های جزئی به یک پردازشگر واحدی که براساس جمع های متوالی حاصل جمع های جزئی نتیجه می دهد ما تقسیم می کنیم تا موفق شویم . اول نیمی از واحد اجرا حاصل جمع های جزئی را به نیمه دیگری فرستد جایکه دو حاصل جمع جزئی با هم جمع می شوند .

سپس یک چهارم واحد اجرا ( نصفی از نصف ) یک حاصل جمع جزئی جدید را به یک چهارم بعدی می فرستد تا مرحله بعدی جمع انجام شود . این تقسیم کردن ها و ارسال و دریافتها تا زمانیکه یک حاصلجمع از همه اعداد بدست آید . ادامه می یابد . فرض کنید pn نمایانگر شماره واحدهای اجرا باشد send/ x,gk تابعی است که شماره x را با مقدار y از شبکه به واحد اجرا ارسال می کند و ()receive یک تابعی است که یک مقدار را از شبکه برای واحد اجرا می گیرد .
این که همه پردازشگرها به دو دسته فرستنده و گیرنده تقسیم می کند و هر کدام از پردازشگرهای گیرنده فقط یک پیغام دریافت می کنند پس ما میتوانیم فرض کنیم یک پردازشگر گیرنده تا وقتی که دریافت می کند ، ممانعت می کند . بنابراین ارسال و دریافت می توانند به عنوان همزمان سازی خاص باری ارتباطات به کار روند . همانگونه پردازشگر ها از انتقال 9 داده ها آگاهند .

طریقه آدرس دهی پردازشگرهای موازی در مقیاس بزرگ :
اغلب پردازشگرهای تجاری در مقیاس گسترده از حافظه توضیع شده استفاده می کنند . هر چند که ساخت ماشینی که بتواند با ازدیاد و ارتقاء پردازشگرهایش حافظه آن هم افزایش و ارتقاء پیدا کند ، یا خیلی مشکل است یا گرانقیمت .
مسئله جدی که دررابطه با ماشین ها ی توضیع حافظه در مقابل طراحان وجود دارد ، مسئله ارتباطات آن است . برای سازندگان سخت افزاری ساده ترین راه حل استفاده از ارتباط فرستادن و دریافت بجای ارتباط ضمنی می باشد که این امر در صورتیکه بعنوان جزئی از بارگزاری یا ذخیره استفاده گر در امکان پذیر است .

فرستادن و دریافت هم چنین مزیتی هم دارد که سادگی طرح ارتباط سیستم برای برنامه نویس می باشد . و طریقه محاسبه با استفاده از ارتباط صریح آسانتر از ارتباط مجازی ( تلویحی ) بارگزاری یا ذخیره ای . یا به عبارت دیگر بارگزاری و ذخیره بمراتب از ارتباط پائین تری از نوع فرستادن و دریافت دارد . بعضی از کاربردها اطلاعتشان در دور دست قرار دارد که بصورت گه گاهی و غیر قابل پیش بینی بدست می آید ، بنابراین خیلی مفید خواهد بود که برای اطلاعات دور دست آدرسی تعیین گردد . بجای اینکه آنها را دریافت کنید ، از نظر اینکه ممکن است مورد استفاده قرار گیرد . چنین ماشینی دارای حافظه اشتراکی توضیعی می باشد . ( بنام DSM )

وجه اشتراک سخت افزار نرم افزار :
اضاف کردن یک لایه سخت افزاری روی ارسال و دریافت بمنظور ایجاد فضای آدرس مجزا برای برقراری ارتباط ،کار مشکلی است ، همچنین این عمل تا قیاس با سیستم های حافظه حقیقی که در حال حاضر در اکثر پردازشگرها وجود دارد می باشد .( فصل 7 ) . در حافظه های حقیقی پردازشگرواحدی از فهرست برای تشخیص آدرس استفاده می کند که آدرس داده شده را تشخیص دهد که آیا داخلی است یا از دیسکت داده شده ؟ این سیستم تشخیص می تواند بگونه ای ارتقاء داده شود که بتواند تصمیم گیری کند که اطلاعات داخلی یا دیسکت یا پردازشگردیگری دریافت می شود .
ایجاد حافظه مجازی بقدری دقیق است که کاملا شبیه حافضه واقعی تصور می شود و اجرای عملیات بقدری کند است که استفاده از آن طریق اغلب بمنزله وقت تلف کردن است ، یا شبیه ورق زدن صفحات .

ارتباط چگونه برقرار شده اهمیتی ندارد ، مهم اینست که کش ها اجرا شوند بنابراین ما میخواهیم به اطلاعات مشترک اجازه بدهیم که به همان خوبی که از طریق پردازشگر در خواست شده اند در کش پردازشگر مربوطه نیز ظاهر گردند .
بنابراین یک سیگنال آدرس در شبکه ایکه به چند پردازشگر متصل است . شاخه های کش های متصل بهم را فعال می کند ، چونکه تعداد زیادی کپی از همان آدرسها در پردازشگرهای مختلفی وجود دارند . مسلما باس – اسنوپینگ های شرح داده شده در فصل 3-9 در اینجا صدق نمی کند ، چونکه باس واحدی وجود ندارد که تمام حافظه ها را در حال کار قرار دهد . بدلیل اینکه طراح CRay T3e هیچگونه باس در اختیار نداشت که کشهای متصل بهم را حمایت کند ، T3E یک فضا برای آدرسها دارد اما این فضا کش – کوهر نت نمی باشد .

یک راه حل برای باس اسنوپینگ در کش – کوهرنت دایرکتوری ها هستند . بر اساس قرار دادها ، بیگ دایرکتوری واحد وجود داردکه حالت هر بلوک را در حافظه اصلی حفظ می کند . اطلاعات موجود دردایکتوری حاوی اینست که کدام کش کپی های پلاک مربوطه را دارد ؟ آیا آنها قابل استفاده اند ؟ و ازین قبیل . خوشبختانه ورودی های دایکتوری ها می تواند طوری تقسیم گردد که درخواستهای مختلف به حافظه پای مربوطه بروند ، که نتیجتا باعث کاهش اتصالات که باعث ساخت یک طرح متناسب می گردد . دایرکتوری ها خواص که باید همیشه حالتهای مشترک را دریک محل نگهدارند در خود حفظ کرده و باعث ساخت پردازشگرهای موازی بزرگ زیبا میگردند .

طراحان کش اسنوپینگ و دایرکتوریها به انتشارات مشابهی رسیدند و تنهااختلاف در مکانیزم تشخیص اطلاعات پیش می آید . بجای اینکه باس را تماشا کند که به بیند آیا در خواستی در مورد بروز کردن کش محلی یا از اعتبار خارج کردن آن وجود دارد ، کنترل کننده دایرکتوری یک پیام قطعی به پردازشگرکش که حاوی یک کپی از اطلاعات است میفرستد . چنین پیامهایی بعدا می توانند از طریق شبکه فرستاده شوند .
شکل 909 خواص تعدادی از بید دایرکتوری و پردازشگرهای غیر هم فرم موجود را نشان می دهد .
شجره : با یک سیگنال آدرس ، اطلاعات می توانند بصورت بطور دلخواه در حافظه های پرداشگرهای مختلف قرار داده شوند . این کار دو نتیجه منفی دارد : اولی اینست که خطای از دست دادن طولانی خواهد بود ، چونکه درخواست باید تمام شبکه را طی کند . دومی اینست که پهنای باند شبکه تمام بکار گرفته می شود که اطلاعت را به پردازشگر مربوطه برساند .

برای برنامه هائیکه میزان خطای پائینی دارند ازین نوع دستگاه ممکن است مناسب نباشد .
یا به عبارت دیگر برنامه های با میزان خطای بالا از میزان اجرای پائین برخودار خواهند بود . زمانیکه اطلاعات بصورت انتخاب گردند .
اگر پروگرامی یا کمپایلی اطلاعات را به پردازشگر واگذار نمایند که شبیه آنست که آنرا بکار ببرند ( مصرف کنند ) ، بعدا این نقص اجرائی تایید می شود . برخلاف حافظه سازمان ماشین های خصوصی این واگذاری فقط کافی است خوب باشد چونکه از دست دادن اطلاعات هنوز می تواند در آنها نکته منفی بشمار آید .

یک راه حل دیگر اضافه کردن یک سری کوهرنت به حافظه اصلی برای پردازشگر است . این دستور بلاک های حافظه اصلی را وادار به جابجائی خواهد کرد ، که باعث آزاد شدن کمپایلر یا پروگرامی حافظه اصلی در اختصاص دادن خواهد شده تا زمانیکه بلاک های حافظه تناوبا جلو و عقب نروند ، این طرح ممکن است از نظر قیمت و پیچیدگی گارنتر از قبلی ها باشد ولی از نظر ایمنی اطلاعات مناسبتر خواهد بود . که به آن کش فقط حافظه گویند . این تغییر محل می تواند در سطح صفحه بوسیله سیستم ظاهر شود یا می توانیم انجام آن را در سخت افزار هم ممکن بدانیم .
شکل 9015 اختیارات کوهرنتی را برای یک فضای یک آدرسی خلاصه کرده است .
بدلیل اینکه تعداد بین را نسبت به چیپ ها محدودند ، تمام پردازشگرها نمی توانند مستقیما در یک شبکه متصل شوند . این محدودیت باعث بوجود آمدن یک توهم در نقطه نظرهای مطرح و نقشه یک شبکه گردیده است . در شکل 906 خواص تعدادی از راههای متفاوت برای طراحی نشان داده شده است . اما بهتر است اول توجهی به طریق دیگری ازاتصال کامپیوترها بوسیله شبکه گردد .

نمودار 9011 هزینه اجرای چند پردازشگر را بطریق اتصال باس بنام UMR را با طریق اتصال شبکه ای بنام NUMA رانمایش میدهد .
درین نمودار شبکه هزینه کمتری دارد ومبدا زیاد میشود ( بسرعت ) در مقایسه با طریقه اتصال باس اجرا: برای هر دو به صورت خطی است تا اینکه طریقه باس به محدودیت خود میرسد و بعد اجرا سقوط کرده و اهمیتب ندارد که چه تعداد پردازشگر بکار گرفته شده . بعدا این دواثر با هم ترکیب می شوند و می بینیم که شبکه ایکه بصورت NUMA متصل گردیده با هزینه مربوطه خود همخوانی دارد . در صورتیکه اتصال بصورت باس یک سویت اسپاتی دارند که باعث جذب مشتری شده وطراحان برای این جاذبه بیشتر تمایل دارند از طریق باس استفاده کنند .

905 clusters :
« کاپرو برنده خواهد شد بدلیل اینکه کامپیوتر نمی تواند در یکسال آنهمه ارتقاء پیدا کند ( توسعه یابد ) »
گفته های والو قبل از شروع مسابقه بین کاسپرو و دیپ بلو روز 6 فی سال 1997
تقاضاهای زیادی برای مین فرم ها وجود دارند مانند داتابیس ، فیل سرو ، وب سرو ، سیمولیشن و ملتی پروگامینگ ، بس پروسینگ بیشتر است که با ماشینهای کم کار کند .
تا با ماشینهای کش کوهرنت NUMA که در بخش قبل مورد بحث قرار گرفتند .
این تقاضا سیستم ها اکثرا باید از اعتبار بالائی برخوردار بوده و حداقل خطا یا ایراد را دارا بوده و قابلیت تعمیر آنهم بالا باشد .

چنین سیستم هایی با شباهتی که مولتی پروسسورشان با قبلی ها دارد و هم چنین از نظر نیاز خودی به باند بالا ، سویچ بیس ، منطقه تحت پوشش شبکه ، از جحیت دارند و بنظر میرسد که پردازشگر های بزرگ آینده ممکن از کلاسترهائی بنام آف د شلف استفاده کنند . بالاترین مثال درین رابطه IBMSPZX که یک کلاستر 32 ناد است بالا شباهت زیادی به 000 6 /RS و رک استیشن که برای مسابقات شطرنج با سخت افزار با سرعت ساخته شده دارد .
مثلا در 1977 یک کلاستر دسک تاپ looultra spare بر کلی انگلستان با 160MB/SEC perlink switchesdutBSa بکار گرفته شد که امتیازات جهانی را ثبت کند که قدرت ذخیره اش 806 روی دیسک در دو دقیقه بود و اگر بخواهیم پیام را کنیم 5/3 ساعت برای 40-di DESKey طول میکشد .

دستگاه بالا با استفاده از قطعات آف دشلف ساخته شد و گاها داخل کیس ساخته میشود .
جدول 12: 9 فروش کلاستر را مانند IBM نشان میدهد .
این کمپانیها کلاستر را ساخته اند بمنظور اینکه قابل ازتقاء سیستم با ارزش ( معتبر ) که درینصورت سیستمی است که هدفش ضمن ارتقاء توانائی از تعداد زیاد پردازشگر ، حافظه و دیسک برخوردار بوده و هم چنین بتواند بصورت 24 ساعته و تمام سال آماده و در حال کار باشد .

یک نقطه ضعف کلاستر هزینه سیستم مدیریتی آن است ، یک کلاستر با N عدد ماشین همان هزینه ای را دارد که NS ماشین مستقل داشته باشد . در صورتیکه مدیریت سیستم shared address space muitioros… با N عدد ماشین هزینه ای معادل سیستم از نوع خودش فقط با یک ماشین خواهد داشت .
نقطه ضعف دیگر اینست که کلاستر معمولا برای اتصال ( نصب ) از شاید 0( input / out put) باس کامپیوتر استفاده می کند .
درصورتیکه ملتی پرسسورها معمولا به باس حافظه کامپیوتر وصل می شوند .
و باس حافظه از باند پهن تری استفاده می کند و اجازه میدهد که پروسسور شبکه باسرعت بیشتری کار کرده و خطاهای کمتری در مقایسه با I/0 ترافیک و کاربری دارد .

جدول 912 .
خصوصیات کلاسترهای موجود در سال 1997 در جدول فوق همه بجز IBMSP2 برای استفاده های بزرگ عرضه گردیده و SP2 برای استفاده ومعنی کردن داده ها بکار میرود .
و آخرین ضعف کلاستر تعدد حافظه است .

یک کلاستر با N ماشین دارای N حافظ مستقل و N کپی از نحوه کار دستگاه را دارد در صورتیکه پردازشگرهای چند منظوره مشترک یک برنامه دارد که کل حافظه ها را در کامپیوتر بکار میگیرد . بنابراین یک برنامه مشابه در کلاستر 1/N حافظه موجود در مقایسه باعث به خود smp را دارا میباشد . گرچه که حافظه های مجزا در کلاستر نوعی ضعف شمرده میشود ولی حقیقتا یک نوع مزیت از نظر مسایز برنامه و اعتبار سیستم و قابلیت گسترش آن بشمار میاید . بنابراین در کلاستر که دارای کامپیوترهای مجزا و متصل بهم از طریق شبکه میباشد بمراتب تعویض یک سیستم در آن ساده و نیازی به اینکه کل شبکه را از مدار خارج کنیم ندارد در قیاس با SMP .

و نهایتا سیستم با آدرس های مشترک بدین معنی است که مشخص کردن یک پروسسور و جایگزین کردن آن بمراتب مشکل تر است برای سیستم در حال کار ، چونکه نرم افزار کلاستر لایه ای است که روی سیستم در حال کار نصب گردیده و درهر کامپیوتر جداگانه فعال است و تعویض یک دستگاه معیوب در چنین سیستمی بسیار ساده است .
نتیجتا اینکه کلاسترها از کامپیوترهای مستقل با تمام متعلقات ساخته شده اند و توسعه و ارتقاء شبکه هم ساده و نیازی به پائین آوردن ( خاموشی دادن ) کاربری دستگاه نمی باشد .

امتیازاتی مانند فراوانی ، سرعت ، قابلیت گسترش باعث میشود که کلاسترها جاذبه بیشتری برای بکارگیری در (www) wordwide web داشته باشد .
اختلاف دیگری که بین این دو سیستم در کاربری وجود دارد قیمت محاسبه شده برای دو سیستم مشابه میباشد .
از آنجا که کامپیوترهای بزرگ با پردازشگرهای چند منظوره دارای حجم کوچکی هستند ، گسترشهای اضافی آنها هزینه زیادی داشته که روی سیستم اثر میگذارد و در نتیجه برای خریداران گرانتر تمام میشود .

از آنجائیکه از سویچهار مشابه در حجم زیاد برای سیستم های کوچک استفاده میگردد ، میتوان از ترکیب آنها برای ساخت کلاسترها در حجم بزرگ استفاده کرد و اغلب مثل دو طرف یک مسابقه که سعی برین دارند که از دیگری سبقت گرفته و جاذبه بیشتری ایجاد نمایند ، دریک طرف مسابقه ( دوئل ) برای پیروزی بر ضعف فراوانی بالایی مولتی پروسسورا سازندگان سخت افزاری و ارتقاء دهندگان سیستم سعی دارند توانایی را در دستگاه ایجاد کنند که تمام قسمتهای سیستم کار آئی بالائی داشته باشند که درینصورت یک Node خواهد توانست ارتقاء داده شود یا اگر ایرادی پیدا کرد ،

سوی بقیه سیستم موثر نباشد . در طرف دیگر دوئل به علت اینکه محدودیت سیستم مدیریتی هر دو دستگاه و اندازه حافظه آنها تقریبا از یک حالت خطی ( افقی ) در تعداد ماشین برخوردار است ، مشکل کلاستر را با استفاده از SMP های کوچکتر تا اندازه ای حل کرده مثلا یک کلاستر 32 پروسسوری را میتوان با استفاده از چهار SMP هشتائی یا هشت SMP چهارتائی ساخت ، که چنین کلاستری را گاهی اوقات clusteved- shared – memory ( حافظه های مشترک خوشه ای ) میماند و درین نوع سیستم تمام موارد ، اقتصادی ، کاربری ، قابلیت توسعه و موجود بدون در نظر گرفته شدن بجز آنها ئیکه درشکل 912 برمبنای SMP ساخته شده اند .

پاراگراف بعدی 906 شبکه های را توضیح میدهد که از هر دو سیستم کلاستر و مولتی پروسسور استفاده می کند .
راه مستقیم برای اتصال حافظه – پردازشگر نادها ، ایجاد یک ارتباط بین هر ناد است .
بین این اجرای گران قیمت این شبکه کامل متصل و هزینه ارزان اجرای باس ، یک سری شبکه وجود دارند که 906 تشکیل یک سطح وسیعی از هزینه اجرائی را میدهد . هزینه شبکه شامل تعداد سویچ ها ، تعداد لیگ ها ، و طول لیگ ها وقتی که شبکه ترسیم میشود میباشد مثلا برای یک ماشینی در حد ده ها و صدها پردازشگر بعضی از لیگها ممکن است قوطی آهنی باشد با چیپ هائی که نزدیک یک مایل طول داشته باشند و بقیه ممکن است کابلهائی باشد با مترها طول از یک کابینت به دیگری .

اجای یک شبکه هم کاملاشبیه کار بالا است . و آن در برمیگیرد یک شبکه بدون باری که پیام را ارسال و دریافت میکند ، کل ارسال به معنی حداکثر پیامهائی که میتواند در یک زمان معین بفرستد میباشد و تاخیر درین ارسال به لحاظ نوع اتصالات واجراهای متغیر که به چگونگی ارتباط فی ما پیش بستگی دارد است .
یک ملاحظه دیگر درین شبکه ممکن است درصد ایراداست ممکن باشد چونکه سیستم های بزرگ و ( پیشرفته ) باید با وجود بروز اشکال در شبکه کار آن متوقف نگردد . شبکه ها معمولا بصورت گراف رسم میشوند که هر are درین گراف نمایشگر یک اتصال ( link ) ارتباطی شبکه است .

نادهای پردازشگر حافظه بصورت مربع های سیاه نمایش داده میشوند ، سویچها بصورت دایره های رنگی ، درین قسمت تمام اتصالات دو قطبی هستند یعنی اطلاعات در هر جهتی میتوانند جریان داشته باشند ، و تمام شبکه ها دارای سویچ هائی هستند که Links هایشان به پردازشگر حافظه و سایر سویچ ها میروند . اولین پیشرفت در باس ها اینست که شبکه آن بصورت نادهای هم فاز ( سی کووینس ) متصل شد باشند . که به این توپولوژی ( نوع اتصال ) رینگ ( حلقه ) گفته میشود . و چون بعضی از نادها بطور مستقیم وصل نمیشوند بعضی از پیام ها برای پیمودن طول مسیر ، مدتی طول میکشند تا به انتها برسند .
برعکس باس ، ریگ توانائی هدایت تعداد زیادی را بطور همزمان دارد .

بدلیل اینکه توپولوژی ها متعددی وجود دارد برای تشخیص و انتخاب این طرحها نیاز به اندازه گیری دقیق میباشد که درین رابطه دو رویه آن معروفیست اول : باید عرضی کلی باند شبکه را دانست ( تعداد بیت ها برلینگ = پهنای باند ) که از بندویروس هر کدام از لینگها × ( ضربدر ) تعداد لینگها بدست میاید . که این بهترین مورد است . مثلا برای یک شبکه با p عدد لینگ ، B.W ( بندویروس ) کلمی برابر خواهد بر با Link × Pولی B.W کلی یک باس = خواهد بود باLink ×B.W 1
همان باس به تنهائی .
برابر قراری بهترین موقعیت ، یکنوع متر یک دیگری که تقریبا بدترین مورد است ، بندویدس ( B.W ) دو قسمتی است .
این نوع ، ماشین را بدو قسمت مجزا تقسیم کرده که هر قسمت 2/1 ناد را شامل میشود و بعدا ، باید B.W هائی را که از خط فرضی تقسیم شده آن نقطه گذشته اند با هم جمع کنیم . B.W دو قسمتی یک رینگ دو برابر B.W لینگ است و یک برابر لینگ برای نوع باس . اگر یک لینک تنها همان سرعت باس را دارد ، رینگ دو برابرآن سرعت را در بدترین حالت خود دارد ولی همان رینگ P برابر سریعتر است در بهترین موقعیت خود .

از جائیکه توپولوژی ( نقشه ) ها مشابه نیستند ، سئوال پیش میاید که خط فرضی مذکور را کجا باید رسم کرد تا کاملا سیستم را بدو قسمت تقسیم کند . که این بدترین حالت متر یک است .
بنابراین باید تقسیمی را انجام داد که حداکثر در اجرا بوجود میاورد . بدینصورت که متغیرها را ثابت کرده ، تمام تقسیمات ممکن ار محاسبه کنیم ، و کوچکترین را انتخاب کنیم ، این منظر بدبینی را به این دلیل انتخاب میکنیم که اکثرا برنامه های پارالل بوسیله ضعیف ترین لینگ در چرخه ارتباط محدود میگردند .
در شاخه ای دیگر از یک رینگ یک شبکه کاملا بسته شده وجود دارد بصورتیکه هر پردازشگر دارای لینگ دو جهتی به پردازشگر دیگر باشد ، محاسبه B.W کلی با کل سیستم دوجهتی از فرمول بدست میایدP-1) 2 × B.W , ( P دو قسمتی از فرمول (P/2)2 محاسبه میگردد .

ازدیاد توسعه شبکه کامل اجرائی از نظر هزینه قدری نگران کننده است و این امر باعث گردیده که با این الهام مهندسین و اداء به اختراع طرحی ( توپولوژی) که هزینه آن بین روش حلقه ای و شبکه های کامل ( فولی کنتکید نتورک ) باشد . و ارزیابی موفقیت این طرح بستگی عمده ای به قسمت ارتباط در شبکه دارد .
تعداد توپولوژی های که در نشریات منتشر شده اند قابل شمارش نیست اما تعدادی که تاکنون در پروسسورهای تجاری بکار گرفته شده اند در دست میباشد . ( مشخص است ) .
شکل 913 دو نوع مشهور آنرا نشان میدهد . سیستم اصلی ( حقیقتی ) گاها برای ارتقاء توانائی دستگاه تعدادی لینگ به آن اضافه میکند .
شکل 9013 : نقشه ( طرح ) شبکه ایکه شبکه های پارالل پروسسور برآن اساس طرح گردیده .
دایره های رنگی نشاندهنده سویچ ها و مربع های مشکی نشانگر پروسسور محوری ناد هستند ،حبی اگر سویچی چندین لینک داشته باشد ،معمولا فقط یکی از آنها به پروسسور میرود . نقشه ای با n عدد مکعب یک n عدد و جهی است بتوان 2 ناد که بهم متصل گردیده اند ، یاز به n عدد لیک برای هر سویچ باضافه یک برای پروسسور دارد و بنابراین n عدد از نزدیکترین ناد . در اغلب این نقشه ها تغیراتی داده شده برای ارتقاء قابلیت اجرا و تداوم کار مثلا سویچهای متصل شده به چپ راست ستون در 2-D میتواند از طریق سویچهای خالی وصل گردد و برای ساخت ریگ های افقی .

– یک طریقه برای قرار دادن پروسسور در هر یک از نادهای یک شبکه اینست که سویچ در بعضی ازین نادها قرار دهید . و درینصورت از نظر جاسازی متراکم خواهد بود ، که در نتیجه اجرای بیشتری در فاصله کمتری خواهد گردید . به چنین شبکه ای غالبا چند شبکه ای گفته میشود که اطلاق میشود به چند مرحله ای که یک پیام باید گذر کند . و انواع شبکه های چندتائی زیاد هستند مانند شبکه یک قسمتی single-stage net شکل 914 سازمان دوعدد مولتی استیج رایج را نشان میدهد .

شبکه (a ) کروس بار یا فولی کنکتد طوری طرح گردیده که هر ناد با ناد دیگری از طریق یک مسیر در ارتباط است . ( b ) شبکه اومگا کار کمتری نسبت به کروس بار میبرد ( سخت افزاری ) ( 2n 10y2 n70 sn2 sw) ، اما بین پیامها ارتباط میتواند برقرار گردد که بستگی به طرح ارتباطی شبکه دارد . مثلا در شکل 9014 و شبکه اومگا نمیتواند بطور همزمان از pot0p6 پیامی بفرستد وقتیکه در همان زمان از p1 t0 p7 میفرستد .
– تکمیل کردن توپولوژی های شبکه یا تکمیل نقشه های یک شبکه

این تحلیل ساده در تمام شبکه ها درین قسمت ( رد میکند ) نقطه نظرهای ( ملاحظات ) عملی را در ساخت شبکه در میکند فاصله هر لینک در ارتباط مربوطه اثر جزئی سریعی دارد ،هرچه فاصله بیشتر باشد هر نیمه کاری در یک های کلاک ریت بیشتر است . و فاصله کوتاه متر کار اتصال دایرهای بیشتری به لیک را آسانتر میکند ، و برق مصرفی هم با وایرهای کوتاه تر کمتر میشود و هم چنین وایرکوتاه تر ارزانتر هم تهیه میشود . و محدودیت نهائی این طریق اینست که نقشه های سه بعدی که که باید روی چیپها و بردها پیاده گردیده ، ضرورتا دوبعدی هستند .
907: Real stopp : puture Directican For Multiprocessors:

دروغهای واقعی :
جهت گیری های آینده مولتی پروسسورها :
برای تجاوز از یک ده است که گفته میشود که جدال برسراینست که سازمان کامپیوترهای تکی به حد نهائی خود رسیده و توسعه واقعی آنها فقط میتواند بصورت اتصالات داخلی تعداد زیادی کامپیوتر به این طریق باشد که با هم بصورت اشتراکی ( شرکتی ) کار کنند که نتیجه کار ادامه اعتبار پردازشگر های تکی خواهد بود .

در بهار 1967 کنفرانس کامپیوتری ها : اعتبار ( پایداری ) سینگل پروسسورها به توانائی کسب محاسبات در مقیاس بالا نزدیک گردید .
– کارآئی پردازشگرهای تکی در یک حد پیش بینی نشده توسعه یافته است ، با همراهی میکروپروسسورهائی که ( با همراهی میکروپروسسوها ) . شکل شماره 10400 نشان میدهد که از 1987 سریعترین میکروپروسسورها سالیانه بیش از 50% آنها توسعه داده شده اند توسعه با این سرعت به سادگی حاصل نگردیده هزینه تقریبی ارتقاء آخرین پن تیوم (pro ) 400 میلیون دلار است .

 

برای دریافت پروژه اینجا کلیک کنید

   1   2   3   4   5   >>   >